# Copyright (C) 1991-2012 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition # File: D:\Maximiliam Luppe\Quartus\MercurioIV_pin_assingment.csv # Generated on: Mon Aug 11 14:40:10 2014 # Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software. To,Direction,Location,I/O Bank,VREF Group,I/O Standard,Reserved,Current Strength,Slew Rate,Differential Pair LCD_BACKLIGHT,Unknown,PIN_V10,3,B3_N1,3.3-V LVTTL,,,, CLOCK1_50MHz,Unknown,PIN_T2,2,B2_N0,3.3-V LVTTL,,,, CLOCK_50MHz,Unknown,PIN_T1,2,B2_N0,3.3-V LVTTL,,,, DISP1_D[0],Unknown,PIN_R5,2,B2_N3,3.3-V LVTTL,,,, DISP1_D[1],Unknown,PIN_T5,2,B2_N3,3.3-V LVTTL,,,, DISP1_D[2],Unknown,PIN_T3,2,B2_N2,3.3-V LVTTL,,,, DISP1_D[3],Unknown,PIN_T4,2,B2_N3,3.3-V LVTTL,,,, DISP1_D[4],Unknown,PIN_M6,2,B2_N0,3.3-V LVTTL,,,, DISP1_D[5],Unknown,PIN_N7,2,B2_N2,3.3-V LVTTL,,,, DISP1_D[6],Unknown,PIN_N6,2,B2_N1,3.3-V LVTTL,,,, DISP1_D[7],Unknown,PIN_P6,2,B2_N3,3.3-V LVTTL,,,, GPIO0_CLKIN[0],Unknown,PIN_G21,6,B6_N3,3.3-V LVTTL,,,, GPIO0_CLKIN[1],Unknown,PIN_G22,6,B6_N3,3.3-V LVTTL,,,, GPIO0_CLKOUT[0],Unknown,PIN_K18,6,B6_N2,3.3-V LVTTL,,,, GPIO0_CLKOUT[1],Unknown,PIN_M22,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[0],Unknown,PIN_E16,7,B7_N0,3.3-V LVTTL,,,, GPIO0_D[10],Unknown,PIN_H20,6,B6_N1,3.3-V LVTTL,,,, GPIO0_D[11],Unknown,PIN_H18,6,B6_N1,3.3-V LVTTL,,,, GPIO0_D[12],Unknown,PIN_L22,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[13],Unknown,PIN_L21,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[14],Unknown,PIN_J18,6,B6_N2,3.3-V LVTTL,,,, GPIO0_D[15],Unknown,PIN_M21,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[16],Unknown,PIN_K19,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[17],Unknown,PIN_M19,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[18],Unknown,PIN_N22,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[19],Unknown,PIN_N21,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[1],Unknown,PIN_H22,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[20],Unknown,PIN_M20,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[21],Unknown,PIN_K17,6,B6_N2,3.3-V LVTTL,,,, GPIO0_D[22],Unknown,PIN_N20,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[23],Unknown,PIN_N19,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[24],Unknown,PIN_P22,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[25],Unknown,PIN_P21,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[26],Unknown,PIN_N18,5,B5_N0,3.3-V LVTTL,,,, GPIO0_D[27],Unknown,PIN_R19,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[28],Unknown,PIN_R22,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[29],Unknown,PIN_R21,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[2],Unknown,PIN_F16,7,B7_N0,3.3-V LVTTL,,,, GPIO0_D[30],Unknown,PIN_R18,5,B5_N1,3.3-V LVTTL,,,, GPIO0_D[31],Unknown,PIN_T18,5,B5_N3,3.3-V LVTTL,,,, GPIO0_D[3],Unknown,PIN_F19,6,B6_N1,3.3-V LVTTL,,,, GPIO0_D[4],Unknown,PIN_H21,6,B6_N2,3.3-V LVTTL,,,, GPIO0_D[5],Unknown,PIN_J22,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[6],Unknown,PIN_F20,6,B6_N1,3.3-V LVTTL,,,, GPIO0_D[7],Unknown,PIN_H19,6,B6_N1,3.3-V LVTTL,,,, GPIO0_D[8],Unknown,PIN_J21,6,B6_N3,3.3-V LVTTL,,,, GPIO0_D[9],Unknown,PIN_K21,6,B6_N3,3.3-V LVTTL,,,, GPIO1_CLKIN[0],Unknown,PIN_A11,8,B8_N0,3.3-V LVTTL,,,, GPIO1_CLKIN[1],Unknown,PIN_B11,8,B8_N0,3.3-V LVTTL,,,, GPIO1_CLKOUT[0],Unknown,PIN_F15,7,B7_N0,3.3-V LVTTL,,,, GPIO1_CLKOUT[1],Unknown,PIN_D19,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[0],Unknown,PIN_E11,7,B7_N3,3.3-V LVTTL,,,, GPIO1_D[10],Unknown,PIN_D15,7,B7_N1,3.3-V LVTTL,,,, GPIO1_D[11],Unknown,PIN_E15,7,B7_N1,3.3-V LVTTL,,,, GPIO1_D[12],Unknown,PIN_C17,7,B7_N1,3.3-V LVTTL,,,, GPIO1_D[13],Unknown,PIN_D17,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[14],Unknown,PIN_C19,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[15],Unknown,PIN_D20,6,B6_N0,3.3-V LVTTL,,,, GPIO1_D[16],Unknown,PIN_G15,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[17],Unknown,PIN_F17,6,B6_N0,3.3-V LVTTL,,,, GPIO1_D[18],Unknown,PIN_G18,6,B6_N1,3.3-V LVTTL,,,, GPIO1_D[19],Unknown,PIN_G17,6,B6_N0,3.3-V LVTTL,,,, GPIO1_D[1],Unknown,PIN_F11,7,B7_N3,3.3-V LVTTL,,,, GPIO1_D[20],Unknown,PIN_H17,6,B6_N1,3.3-V LVTTL,,,, GPIO1_D[21],Unknown,PIN_H16,6,B6_N1,3.3-V LVTTL,,,, GPIO1_D[22],Unknown,PIN_G16,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[24],Unknown,PIN_M16,5,B5_N0,3.3-V LVTTL,,,, GPIO1_D[25],Unknown,PIN_P17,5,B5_N2,3.3-V LVTTL,,,, GPIO1_D[26],Unknown,PIN_P20,5,B5_N1,3.3-V LVTTL,,,, GPIO1_D[27],Unknown,PIN_R17,5,B5_N2,3.3-V LVTTL,,,, GPIO1_D[28],Unknown,PIN_R20,5,B5_N2,3.3-V LVTTL,,,, GPIO1_D[2],Unknown,PIN_E12,7,B7_N3,3.3-V LVTTL,,,, GPIO1_D[3],Unknown,PIN_D13,7,B7_N3,3.3-V LVTTL,,,, GPIO1_D[4],Unknown,PIN_E13,7,B7_N3,3.3-V LVTTL,,,, GPIO1_D[5],Unknown,PIN_F13,7,B7_N2,3.3-V LVTTL,,,, GPIO1_D[6],Unknown,PIN_G13,7,B7_N1,3.3-V LVTTL,,,, GPIO1_D[7],Unknown,PIN_F14,7,B7_N0,3.3-V LVTTL,,,, GPIO1_D[8],Unknown,PIN_E14,7,B7_N2,3.3-V LVTTL,,,, GPIO1_D[9],Unknown,PIN_C15,7,B7_N2,3.3-V LVTTL,,,, I2C_OVERTEMPn,Unknown,PIN_AA20,4,B4_N0,3.3-V LVTTL,,,, I2C_SCL,Unknown,PIN_AA21,5,B5_N3,3.3-V LVTTL,,,, I2C_SDA,Unknown,PIN_Y21,5,B5_N2,3.3-V LVTTL,,,, KEY[0],Unknown,PIN_V22,5,B5_N2,3.3-V LVTTL,,,, KEY[10],Unknown,PIN_U17,4,B4_N0,3.3-V LVTTL,,,, KEY[11],Unknown,PIN_Y17,4,B4_N0,3.3-V LVTTL,,,, KEY[1],Unknown,PIN_U20,5,B5_N2,3.3-V LVTTL,,,, KEY[2],Unknown,PIN_U22,5,B5_N2,3.3-V LVTTL,,,, KEY[3],Unknown,PIN_U16,4,B4_N0,3.3-V LVTTL,,,, KEY[4],Unknown,PIN_W20,5,B5_N3,3.3-V LVTTL,,,, KEY[5],Unknown,PIN_U21,5,B5_N1,3.3-V LVTTL,,,, KEY[6],Unknown,PIN_V15,4,B4_N2,3.3-V LVTTL,,,, KEY[7],Unknown,PIN_W17,4,B4_N0,3.3-V LVTTL,,,, KEY[8],Unknown,PIN_W19,5,B5_N3,3.3-V LVTTL,,,, KEY[9],Unknown,PIN_W15,4,B4_N1,3.3-V LVTTL,,,, LCD_D[0],Unknown,PIN_V8,3,B3_N2,3.3-V LVTTL,,,, LCD_D[1],Unknown,PIN_V7,3,B3_N2,3.3-V LVTTL,,,, LCD_D[2],Unknown,PIN_V6,3,B3_N3,3.3-V LVTTL,,,, LCD_D[3],Unknown,PIN_V5,3,B3_N3,3.3-V LVTTL,,,, LCD_D[4],Unknown,PIN_V4,2,B2_N3,3.3-V LVTTL,,,, LCD_D[5],Unknown,PIN_Y4,3,B3_N3,3.3-V LVTTL,,,, LCD_D[6],Unknown,PIN_V3,2,B2_N3,3.3-V LVTTL,,,, LCD_D[7],Unknown,PIN_Y3,3,B3_N3,3.3-V LVTTL,,,, LCD_EN,Unknown,PIN_V9,3,B3_N1,3.3-V LVTTL,,,, LCD_RS,Unknown,PIN_U9,3,B3_N2,3.3-V LVTTL,,,, LCD_RW,Unknown,PIN_U8,3,B3_N3,3.3-V LVTTL,,,, LEDM_C[0],Unknown,PIN_J7,1,B1_N2,3.3-V LVTTL,,,, LEDM_C[1],Unknown,PIN_J6,1,B1_N1,3.3-V LVTTL,,,, LEDM_C[2],Unknown,PIN_K8,1,B1_N2,3.3-V LVTTL,,,, LEDM_C[3],Unknown,PIN_J8,1,B1_N1,3.3-V LVTTL,,,, LEDM_C[4],Unknown,PIN_L8,1,B1_N2,3.3-V LVTTL,,,, LEDM_R[0],Unknown,PIN_F10,8,B8_N2,3.3-V LVTTL,,,, LEDM_R[1],Unknown,PIN_C8,8,B8_N1,3.3-V LVTTL,,,, LEDM_R[2],Unknown,PIN_E9,8,B8_N1,3.3-V LVTTL,,,, LEDM_R[3],Unknown,PIN_G9,8,B8_N3,3.3-V LVTTL,,,, LEDM_R[4],Unknown,PIN_F9,8,B8_N3,3.3-V LVTTL,,,, LEDM_R[5],Unknown,PIN_F8,8,B8_N3,3.3-V LVTTL,,,, LEDM_R[6],Unknown,PIN_G8,8,B8_N3,3.3-V LVTTL,,,, LEDM_R[7],Unknown,PIN_H11,8,B8_N1,3.3-V LVTTL,,,, LED_B,Unknown,PIN_E7,8,B8_N3,3.3-V LVTTL,,,, LED_G,Unknown,PIN_D7,8,B8_N2,3.3-V LVTTL,,,, LED_R,Unknown,PIN_D6,8,B8_N3,3.3-V LVTTL,,,, PROTO_A[0],Unknown,PIN_AB5,3,B3_N2,3.3-V LVTTL,,,, PROTO_A[1],Unknown,PIN_AB4,3,B3_N2,3.3-V LVTTL,,,, PROTO_A[2],Unknown,PIN_AB3,3,B3_N2,3.3-V LVTTL,,,, PROTO_A[3],Unknown,PIN_AA1,2,B2_N2,3.3-V LVTTL,,,, PROTO_A[4],Unknown,PIN_AA7,3,B3_N1,3.3-V LVTTL,,,, PROTO_A[5],Unknown,PIN_AA5,3,B3_N2,3.3-V LVTTL,,,, PROTO_A[6],Unknown,PIN_AA4,3,B3_N2,3.3-V LVTTL,,,, PROTO_A[7],Unknown,PIN_AA3,3,B3_N2,3.3-V LVTTL,,,, PROTO_B[0],Unknown,PIN_AB10,3,B3_N0,3.3-V LVTTL,,,, PROTO_B[1],Unknown,PIN_AB9,3,B3_N1,3.3-V LVTTL,,,, PROTO_B[2],Unknown,PIN_AB8,3,B3_N1,3.3-V LVTTL,,,, PROTO_B[3],Unknown,PIN_AB7,3,B3_N1,3.3-V LVTTL,,,, PROTO_B[4],Unknown,PIN_Y10,3,B3_N0,3.3-V LVTTL,,,, PROTO_B[5],Unknown,PIN_AA10,3,B3_N0,3.3-V LVTTL,,,, PROTO_B[6],Unknown,PIN_AA9,3,B3_N1,3.3-V LVTTL,,,, PROTO_B[7],Unknown,PIN_AA8,3,B3_N1,3.3-V LVTTL,,,, SW[0],Unknown,PIN_V21,5,B5_N2,3.3-V LVTTL,,,, SW[1],Unknown,PIN_W22,5,B5_N2,3.3-V LVTTL,,,, SW[2],Unknown,PIN_W21,5,B5_N2,3.3-V LVTTL,,,, SW[3],Unknown,PIN_Y22,5,B5_N3,3.3-V LVTTL,,,, DISP0_D[7],Unknown,PIN_W1,2,B2_N2,3.3-V LVTTL,,,, DISP0_D[6],Unknown,PIN_W2,2,B2_N2,3.3-V LVTTL,,,, DISP0_D[5],Unknown,PIN_Y1,2,B2_N2,3.3-V LVTTL,,,, DISP0_D[4],Unknown,PIN_Y2,2,B2_N2,3.3-V LVTTL,,,, DISP0_D[3],Unknown,PIN_U1,2,B2_N1,3.3-V LVTTL,,,, DISP0_D[2],Unknown,PIN_U2,2,B2_N1,3.3-V LVTTL,,,, DISP0_D[1],Unknown,PIN_V1,2,B2_N1,3.3-V LVTTL,,,, DISP0_D[0],Unknown,PIN_V2,2,B2_N1,3.3-V LVTTL,,,, DAC_SCLK,Unknown,PIN_AA14,4,B4_N3,3.3-V LVTTL,,,, DAC_DIN,Unknown,PIN_AB14,4,B4_N3,3.3-V LVTTL,,,, DAC_CLR,Unknown,PIN_AA15,4,B4_N3,3.3-V LVTTL,,,, ADC_UB,Unknown,PIN_AB20,4,B4_N0,3.3-V LVTTL,,,, ADC_SEL,Unknown,PIN_AB16,4,B4_N2,3.3-V LVTTL,,,, ADC_SD,Unknown,PIN_AA16,4,B4_N2,3.3-V LVTTL,,,, ADC_SCLK,Unknown,PIN_AA18,4,B4_N1,3.3-V LVTTL,,,, ADC_REFSEL,Unknown,PIN_AB17,4,B4_N1,3.3-V LVTTL,,,, ADC_DOUT2,Unknown,PIN_AA19,4,B4_N1,3.3-V LVTTL,,,, ADC_DOUT1,Unknown,PIN_AB19,4,B4_N1,3.3-V LVTTL,,,, ADC_CSn,Unknown,PIN_AA17,4,B4_N1,3.3-V LVTTL,,,, ADC_CNVST,Unknown,PIN_AB18,4,B4_N1,3.3-V LVTTL,,,, VGA_B[3],Unknown,PIN_A16,7,B7_N2,3.3-V LVTTL,,,, VGA_B[2],Unknown,PIN_B15,7,B7_N3,3.3-V LVTTL,,,, VGA_B[1],Unknown,PIN_A15,7,B7_N2,3.3-V LVTTL,,,, VGA_B[0],Unknown,PIN_B14,7,B7_N3,3.3-V LVTTL,,,, VGA_G[3],Unknown,PIN_A14,7,B7_N3,3.3-V LVTTL,,,, VGA_G[2],Unknown,PIN_B13,7,B7_N3,3.3-V LVTTL,,,, VGA_G[1],Unknown,PIN_A13,7,B7_N3,3.3-V LVTTL,,,, VGA_G[0],Unknown,PIN_C13,7,B7_N3,3.3-V LVTTL,,,, VGA_HS,Unknown,PIN_B16,7,B7_N2,3.3-V LVTTL,,,, VGA_R[3],Unknown,PIN_B10,8,B8_N0,3.3-V LVTTL,,,, VGA_R[2],Unknown,PIN_A10,8,B8_N0,3.3-V LVTTL,,,, VGA_R[1],Unknown,PIN_C10,8,B8_N0,3.3-V LVTTL,,,, VGA_R[0],Unknown,PIN_A9,8,B8_N0,3.3-V LVTTL,,,, VGA_VS,Unknown,PIN_A17,7,B7_N1,3.3-V LVTTL,,,, UART_CTS,Unknown,PIN_C7,8,B8_N1,3.3-V LVTTL,,,, UART_RTS,Unknown,PIN_C6,8,B8_N2,3.3-V LVTTL,,,, UART_RXD,Unknown,PIN_C3,8,B8_N3,3.3-V LVTTL,,,, UART_TXD,Unknown,PIN_C4,8,B8_N3,3.3-V LVTTL,,,, USB_D[7],Unknown,PIN_B6,8,B8_N1,3.3-V LVTTL,,,, USB_D[6],Unknown,PIN_B7,8,B8_N1,3.3-V LVTTL,,,, USB_D[5],Unknown,PIN_A6,8,B8_N1,3.3-V LVTTL,,,, USB_D[4],Unknown,PIN_A4,8,B8_N2,3.3-V LVTTL,,,, USB_D[3],Unknown,PIN_A7,8,B8_N1,3.3-V LVTTL,,,, USB_D[2],Unknown,PIN_B5,8,B8_N2,3.3-V LVTTL,,,, USB_D[1],Unknown,PIN_A5,8,B8_N2,3.3-V LVTTL,,,, USB_D[0],Unknown,PIN_B4,8,B8_N3,3.3-V LVTTL,,,, USB_POWERENn,Unknown,PIN_B8,8,B8_N1,3.3-V LVTTL,,,, USB_RD,Unknown,PIN_A8,8,B8_N0,3.3-V LVTTL,,,, USB_RXFn,Unknown,PIN_A3,8,B8_N3,3.3-V LVTTL,,,, USB_TXEn,Unknown,PIN_B3,8,B8_N3,3.3-V LVTTL,,,, USB_WR,Unknown,PIN_B9,8,B8_N0,3.3-V LVTTL,,,, SMA_CLKIN,Unknown,PIN_A12,7,B7_N3,3.3-V LVTTL,,,, SMA_CLKOUT,Unknown,PIN_E6,8,B8_N3,3.3-V LVTTL,,,, SD_CDn,Unknown,PIN_U7,3,B3_N3,3.3-V LVTTL,,,, SD_CLK,Unknown,PIN_Y7,3,B3_N2,3.3-V LVTTL,,,, SD_CMD,Unknown,PIN_W7,3,B3_N2,3.3-V LVTTL,,,, SD_D[3],Unknown,PIN_Y8,3,B3_N1,3.3-V LVTTL,,,, SD_D[2],Unknown,PIN_W8,3,B3_N2,3.3-V LVTTL,,,, SD_D[1],Unknown,PIN_Y6,3,B3_N3,3.3-V LVTTL,,,, SD_D[0],Unknown,PIN_W6,3,B3_N2,3.3-V LVTTL,,,, GPIO1_D[31],Unknown,PIN_T16,4,B4_N0,3.3-V LVTTL,,,, GPIO1_D[30],Unknown,PIN_T17,5,B5_N3,3.3-V LVTTL,,,, GPIO1_D[29],Unknown,PIN_R16,4,B4_N0,3.3-V LVTTL,,,, GPIO1_D[23],Unknown,PIN_J17,6,B6_N1,3.3-V LVTTL,,,, ETH_COL,Unknown,PIN_F22,6,B6_N2,3.3-V LVTTL,,,, ETH_CRS,Unknown,PIN_F21,6,B6_N2,3.3-V LVTTL,,,, ETH_MDC,Unknown,PIN_A18,7,B7_N1,3.3-V LVTTL,,,, ETH_MDIO,Unknown,PIN_B17,7,B7_N2,3.3-V LVTTL,,,, ETH_RSTn,Unknown,PIN_G11,8,B8_N0,3.3-V LVTTL,,,, ETH_RXC,Unknown,PIN_C20,6,B6_N0,3.3-V LVTTL,,,, ETH_RXD[3],Unknown,PIN_B18,7,B7_N1,3.3-V LVTTL,,,, ETH_RXD[2],Unknown,PIN_A19,7,B7_N1,3.3-V LVTTL,,,, ETH_RXD[1],Unknown,PIN_B19,7,B7_N1,3.3-V LVTTL,,,, ETH_RXD[0],Unknown,PIN_A20,7,B7_N0,3.3-V LVTTL,,,, ETH_RXDV,Unknown,PIN_B20,7,B7_N1,3.3-V LVTTL,,,, ETH_RXER,Unknown,PIN_B21,6,B6_N0,3.3-V LVTTL,,,, ETH_TXC,Unknown,PIN_C21,6,B6_N0,3.3-V LVTTL,,,, ETH_TXD[3],Unknown,PIN_E22,6,B6_N1,3.3-V LVTTL,,,, ETH_TXD[2],Unknown,PIN_E21,6,B6_N1,3.3-V LVTTL,,,, ETH_TXD[1],Unknown,PIN_D22,6,B6_N1,3.3-V LVTTL,,,, ETH_TXD[0],Unknown,PIN_D21,6,B6_N1,3.3-V LVTTL,,,, ETH_TXEN,Unknown,PIN_C22,6,B6_N1,3.3-V LVTTL,,,, ETH_TXER,Unknown,PIN_B22,6,B6_N0,3.3-V LVTTL,,,, SDRAM_A[12],Unknown,PIN_L6,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[11],Unknown,PIN_M3,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[10],Unknown,PIN_P1,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[9],Unknown,PIN_M2,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[8],Unknown,PIN_N1,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[7],Unknown,PIN_M4,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[6],Unknown,PIN_N5,2,B2_N1,3.3-V LVTTL,,,, SDRAM_A[5],Unknown,PIN_P5,2,B2_N1,3.3-V LVTTL,,,, SDRAM_A[4],Unknown,PIN_P4,2,B2_N1,3.3-V LVTTL,,,, SDRAM_A[3],Unknown,PIN_R2,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[2],Unknown,PIN_P3,2,B2_N1,3.3-V LVTTL,,,, SDRAM_A[1],Unknown,PIN_R1,2,B2_N0,3.3-V LVTTL,,,, SDRAM_A[0],Unknown,PIN_P2,2,B2_N0,3.3-V LVTTL,,,, SDRAM_BA[1],Unknown,PIN_H2,1,B1_N3,3.3-V LVTTL,,,, SDRAM_BA[0],Unknown,PIN_J4,1,B1_N3,3.3-V LVTTL,,,, SDRAM_CKE,Unknown,PIN_M5,2,B2_N0,3.3-V LVTTL,,,, SDRAM_CLK,Unknown,PIN_E5,8,B8_N3,3.3-V LVTTL,,,, SDRAM_CSn,Unknown,PIN_H1,1,B1_N3,3.3-V LVTTL,,,, SDRAM_D[15],Unknown,PIN_E4,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[14],Unknown,PIN_G5,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[13],Unknown,PIN_G4,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[12],Unknown,PIN_G3,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[11],Unknown,PIN_J3,1,B1_N3,3.3-V LVTTL,,,, SDRAM_D[10],Unknown,PIN_H5,1,B1_N2,3.3-V LVTTL,,,, SDRAM_D[9],Unknown,PIN_H6,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[8],Unknown,PIN_J2,1,B1_N3,3.3-V LVTTL,,,, SDRAM_D[7],Unknown,PIN_F1,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[6],Unknown,PIN_F2,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[5],Unknown,PIN_E3,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[4],Unknown,PIN_D2,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[3],Unknown,PIN_C1,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[2],Unknown,PIN_C2,1,B1_N1,3.3-V LVTTL,,,, SDRAM_D[1],Unknown,PIN_B1,1,B1_N0,3.3-V LVTTL,,,, SDRAM_D[0],Unknown,PIN_B2,1,B1_N0,3.3-V LVTTL,,,, SDRAM_LDQM,Unknown,PIN_E1,1,B1_N1,3.3-V LVTTL,,,, SDRAM_RASn,Unknown,PIN_N2,2,B2_N0,3.3-V LVTTL,,,, SDRAM_UDQM,Unknown,PIN_J5,1,B1_N2,3.3-V LVTTL,,,, SDRAM_WEn,Unknown,PIN_J1,1,B1_N3,3.3-V LVTTL,,,, SDRAM_CASn,Unknown,PIN_M1,2,B2_N0,3.3-V LVTTL,,,, DAC_CSB,Unknown,PIN_AB15,4,B4_N3,3.3-V LVTTL,,,,