-- Alarme residencial -- Autor: Edson S. Gomi -- Versao 1 (Agosto de 2017) library IEEE; use IEEE.std_logic_1164.all; -- Declaracao da entidade entity house_alarm is port ( panic, enable, exiting, window, door, garage : in std_logic := '0'; alarm : out std_logic); end entity house_alarm; -- Declaracoes da arquitetura architecture house_alarm_arch of house_alarm is signal secure : std_logic := '0'; begin secure <= window and door and garage; alarm <= panic or (enable and not(exiting) and not(secure)); end architecture house_alarm_arch;