$date Sun Oct 29 18:24:51 2017 $end $version GHDL v0 $end $timescale 1 fs $end $var reg 1 ! rd $end $var reg 8 " data[7:0] $end $var reg 8 # bdbus[7:0] $end $var reg 1 $ err $end $scope module mp $end $var reg 1 % rd $end $var reg 8 & data[7:0] $end $var reg 8 ' bdbus[7:0] $end $var reg 1 ( err $end $var reg 9 ) parity_in[8:0] $end $var reg 1 * odd $end $var reg 1 + rd_l $end $var reg 8 , y[7:0] $end $var reg 1 - wr $end $var reg 9 . din[8:0] $end $var reg 9 / dout[8:0] $end $var reg 1 0 g1_l $end $var reg 1 1 g2_l $end $var reg 1 2 rp $end $scope module u1 $end $var reg 9 3 i[8:0] $end $var reg 1 4 even $end $var reg 1 5 odd $end $var reg 1 6 y1 $end $var reg 1 7 y2 $end $var reg 1 8 y3 $end $var reg 1 9 y3n $end $scope module u1 $end $var reg 1 : a $end $var reg 1 ; b $end $var reg 1 < c $end $var reg 1 = y $end $upscope $end $scope module u2 $end $var reg 1 > a $end $var reg 1 ? b $end $var reg 1 @ c $end $var reg 1 A y $end $upscope $end $scope module u3 $end $var reg 1 B a $end $var reg 1 C b $end $var reg 1 D c $end $var reg 1 E y $end $upscope $end $scope module u4 $end $var reg 1 F a $end $var reg 1 G b $end $var reg 1 H c $end $var reg 1 I y $end $upscope $end $scope module u5 $end $var reg 1 J a $end $var reg 1 K b $end $var reg 1 L c $end $var reg 1 M y $end $upscope $end $upscope $end $scope module u2 $end $var reg 1 N wr $end $var reg 9 O d[8:0] $end $var reg 9 P q[8:0] $end $upscope $end $scope module u3 $end $var reg 1 Q g1_l $end $var reg 1 R g2_l $end $var reg 8 S a[7:0] $end $var reg 8 T y[7:0] $end $upscope $end $upscope $end $enddefinitions $end #0 1! b00000001 " b11111111 # 1$ 1% b00000001 & b11111111 ' 1( b111111111 ) 1* U+ b11111111 , 0- b100000001 . b111111111 / 00 01 12 b111111111 3 04 15 16 17 18 09 1: 1; 1< 1= 1> 1? 1@ 1A 1B 1C 1D 1E 1F 1G 1H 1I 1J 1K 0L 0M 0N b100000001 O b111111111 P 0Q 0R b11111111 S b11111111 T #5000000 0! b00000010 " b00000010 # 0$ 0% b00000010 & b00000010 ' 0( b000000010 ) bZZZZZZZZ , 1- b100000010 . b100000010 / 10 11 02 b000000010 3 04 07 08 19 0: 0< 0> 0? 0@ 0A 0B 0C 0D 0E 0G 0H 0K 1L 0M 1N b100000010 O b100000010 P 1Q 1R b00000010 S bZZZZZZZZ T #10000000 1! b00000011 " b00000010 # 0$ 1% b00000011 & b00000010 ' 0( b100000010 ) 0* b00000010 , 0- b000000011 . 00 01 12 b100000010 3 14 05 16 07 18 09 0: 1; 0< 1= 0> 0? 0@ 0A 0B 0C 1D 1E 1F 0G 1H 0I 1J 0K 0L 1M 0N b000000011 O 0Q 0R b00000010 T #15000000 0! b00000100 " b00000100 # 0% b00000100 & b00000100 ' b000000100 ) 1* bZZZZZZZZ , 1- b100000100 . b000000100 / 10 11 02 b000000100 3 04 15 08 19 0; 1< 0D 0E 0H 1I 1L 0M 1N b100000100 O b000000100 P 1Q 1R b00000100 S bZZZZZZZZ T #20000000